eBeam Initiative Surveys Report Upbeat Photomask Market Outlook

SAN JOSE, Calif., Sept. 22, 2020 /PRNewswire/ -- The eBeam Initiative, a forum dedicated to the education and promotion of new semiconductor manufacturing approaches based on electron beam (eBeam) technologies, today announced the completion of its ninth annual eBeam Initiative perceptions survey, now called the Luminaries survey. Industry luminaries representing 42 companies from across the semiconductor ecosystem--including photomasks, electronic design automation (EDA), chip design, equipment, materials, manufacturing and research--participated in this year's survey. The eBeam Initiative also completed its sixth annual Mask Makers survey with feedback from 10 captive and merchant photomask manufacturers.

89 percent of respondents to the Luminaries survey predict that mask revenues in 2020 will stay the same or increase compared to 2019 despite COVID-19. In addition, 66 percent believe that EUV will drive business growth for photomasks, while nearly all respondents believe that the market for multi-beam mask writers will grow over the next three years, with EUV driving multi-beam writer purchases. Manufacturing of curvilinear masks is enabled by multi-beam mask writers, so most respondents also predict that the use of curvilinear mask shapes for leading-edge masks in high-volume manufacturing (HVM) will be pervasive by 2023.

Among the results of the Mask Makers survey, the number of masks written with multi-beam writers more than doubled versus last year's survey, while the average mask write time reported using multi-beam writers (which was recorded for the first time in this year's survey) was 12.14 hours. In addition, EUV mask yield was reported at 91 percent. The use of mask process correction (MPC) increased at leading-edge ground rules (nearly tripling for sub-16-nm ground rules).

Aki Fujimura, CEO of D2S, the managing company sponsor of the eBeam Initiative, is presenting the results of the Mask Makers survey in an invited talk this week at the SPIE Photomask Technology Symposium, which is being held virtually this year. In addition, the complete results of both surveys will be discussed by an expert panel later today during an eBeam Initiative virtual event held in conjunction with the SPIE Photomask Technology Symposium, and will be available for download following the event at www.ebeam.org.

Additional Highlights from the Mask Makers Survey (data from July 2019 to June 2020)

    --  Overall mask yield reported (including EUV, alternating phase shift,
        attenuated phase shift, and binary) was 94.2 percent
    --  The highest dose resist used for EUV was higher than for 193i (median of
        61.3 µc/cm(2) versus median of 43 µc/cm(2))
    --  The average mask turnaround time (TAT) for 11-nm up to 16-nm ground
        rules was 9.73 days, almost twice as long as mask TAT for 32-nm up to
        45-nm ground rules

Additional Highlights from the Luminaries Survey (conducted in July 2020)

    --  74 percent of participants agreed that actinic inspection will be used
        in the mask shop for EUV HVM by 2023 while 48 percent agreed that eBeam
        multi-beam inspection will be used in the mask shop
    --  84 percent of respondents believe that inverse lithography technology
        (ILT) is being used for production chips today
    --  94 percent of participants predict that some curvilinear shapes will be
        used for 193i masks intended for HVM by 2023, while 85 percent predict
        usage of curvilinear shapes for EUV masks for HVM by 2023
    --  62 percent of respondents predict that deep learning will become a
        competitive advantage for any of the steps in mask making by 2022

According to Fujimura, "With clear evidence of adoption of EUV and multi-beam mask writing from the Mask Makers surveys, the Luminaries survey this year went through the first major revision since we started it nine years ago. The semiconductor industry has been one of the lucky ones to escape the negative effects of COVID-19. Industry luminaries are expecting the overall mask market to continue to grow with increased need for multi-beam writers at the leading edge, as well as enablement of curvilinear mask shapes, and additional investments for EUV masks including for inspection and pellicles. We would like to thank the participating companies for reporting this year despite the inconveniences from COVID-19. We look forward to another year of growth for the industry."

About The eBeam Initiative
The eBeam Initiative provides a forum for educational and promotional activities regarding new semiconductor manufacturing approaches based on electron beam (eBeam) technologies. The goals of the Initiative are to reduce the barriers to adoption to enable more integrated circuit (IC) design starts and faster time-to-market while increasing the investment in eBeam technologies throughout the semiconductor ecosystem. Members, which span the semiconductor ecosystem, include: aBeam Technologies; Advantest; Alchip Technologies; AMTC; Applied Materials; Artwork Conversion; Aselta Nanographics; ASML; Cadence Design Systems; Canon; CEA-Leti; D2S; Dai Nippon Printing; EQUIcon Software GmbH Jena; Fraunhofer CNT; Fujitsu Semiconductor Limited; GenISys GmbH; GLOBALFOUNDRIES; Grenon Consulting; Hitachi High-Technologies; HOLON CO., LTD; HOYA Corporation; imec; IMS CHIPS; IMS Nanofabrication AG; JEOL; KIOXIA; KLA; Maglen; Mentor, a Siemens Business; Micron Technology; Multibeam Corporation; NCS; NuFlare Technology; Petersen Advanced Lithography; Photronics; Sage Design Automation; Samsung Electronics; Semiconductor Manufacturing International (Shanghai) Corporation (SMIC); STMicroelectronics; Synopsys; TASMIT; tau-Metrix; Tela Innovations; Tokyo Electron Ltd. (TEL); TOOL Corporation; Toppan Printing; UBC Microelectronics; Vistec Electron Beam GmbH; Xilinx and ZEISS. Membership is open to all companies and institutions throughout the electronics industry. To find out more, please visit www.ebeam.org.

Agency Contact:
David Moreno
Open Sky Communications
Tel: +1-415-519-3915
E-mail: dmoreno@openskypr.com

View original content:http://www.prnewswire.com/news-releases/ebeam-initiative-surveys-report-upbeat-photomask-market-outlook-301135847.html

SOURCE eBeam Initiative